Page Personnelle de Vincent Kerhoas
Vincent Kerhoas
Enseignant du Supérieur
Professeur Agrégé
Page Personnelle de Vincent Kerhoas

Modelsim

Back                  << Index >>

Il existe une version gratuite à l’adresse suivante :

Intel Modelsim Lite

Démarrage de Modelsim / Compilation

Travail avec un seul Fichier

Création

File –> New –> Source –> VHDL

new_vhdl

Save as : Travailler de préférence en local (compilations et tests plus rapides) dans un répertoire accessible en écriture.

save_as

Compilation

compile compile_2

Si la compilation se fait sans erreur, Les Composants se retrouvent dans la bibliothèque work :

lib_work

Travail avec un projet

Création

File –> New –> Project

new_project

Définir un nom pour le projet et le répertoire (où se situent tous les fichiers .vhd de ce projet)

create_project

Add Existing File

add_files

Sélectionner tous les .vhd (souris clic gauche + shift)

select_files

Compilation

compile_all

Cliquer sur les lignes en rouge de la fenêtre transcript pour avoir des informations sur les erreurs

compile_all_error

Simulation : Test du programme

Simulate –> Start Simulation

simulate_start_simulation

Sélectionner le Composant à Tester

simulate_2

add_to_wave

REMARQUE : Si l’agencement des fenêtre est perturbé, il est possible de retrouver la configuration initiale en faisant Layout –> reset

layout_reset

FORCAGE DES SIGNAUX : clic droit sur le signal –> force (clk s’il s’agit d’une horloge)

Bien évidemment on ne force que les entrées

force force_2

REMARQUE : Pour un composant synchrone, un reset est obligatoire pour commencer

run

run

Réitérer les opérations Forçage / run pour vérifier le fonctionnement du composant

simulate_fin

On peut remarquer que tout ce que nous venons de faire depuis l’ajout des signaux dans le chronogramme s’inscrit sous forme de directives dans la fenêtre transcript. On peut sauvegarder le transcript et relancer directement toutes ces directives

transcript

Back                  << Index >>