Page Personnelle de Vincent Kerhoas
Vincent Kerhoas
Enseignant du Supérieur
Professeur Agrégé
Page Personnelle de Vincent Kerhoas

Autres Elements du Langage

Back                  << Index >>

Les Variables

Pour certains (rares) cas, une affectation immédiate peut être nécessaire.

On utilise alors le concept de variable dans les process.

Si l’on sait qu’un signal est vecteur d’information (un fil), une variable n’a pas de réalité physique immédiate, le compilateur interprêtera le code pour générer un composant.

La Généricité

On considère un composant instancié plusieurs fois dans une architecture mais dont un paramètre (généralement une taille de vecteur) est susceptible de changer. On définit alors un paramètre générique.

Un paramètre générique correspond en quelques sortes à un #define en C

Back                  << Index >>